194 – Downloading and installing Yosys

OM11-kontor-2016:~ teig$ cd /Applications/IceStorm ls
OM11-kontor-2016:IceStorm teig$ git clone https://github.com/cliffordwolf/yosys.git yosys
Cloning into 'yosys'...
remote: Enumerating objects: 266, done.
remote: Counting objects: 100% (266/266), done.
remote: Compressing objects: 100% (169/169), done.
remote: Total 44441 (delta 174), reused 156 (delta 97), pack-reused 44175
Receiving objects: 100% (44441/44441), 12.69 MiB | 6.65 MiB/s, done.
Resolving deltas: 100% (32188/32188), done.
Checking connectivity... done.
OM11-kontor-2016:IceStorm teig$ cd yosys
OM11-kontor-2016:yosys teig$ make -j8
$BREW_PREFIX is [/usr/local/opt]
[  0%] Building kernel/version_ce0631c.cc
[  0%] Building kernel/driver.o
[  0%] Building techlibs/common/simlib_help.inc
[  0%] Building techlibs/common/simcells_help.inc
[  1%] Building kernel/rtlil.o
[  2%] Building kernel/log.o
[  2%] Building kernel/calc.o
[  2%] Building kernel/yosys.o
[  3%] Building kernel/cellaigs.o
[  3%] Building kernel/celledges.o
[  4%] Building libs/bigint/BigIntegerAlgorithms.o
[  4%] Building libs/bigint/BigInteger.o
[  4%] Building libs/bigint/BigIntegerUtils.o
[  5%] Building libs/bigint/BigUnsigned.o
[  5%] Building libs/bigint/BigUnsignedInABase.o
[  6%] Building libs/sha1/sha1.o
[  6%] Building libs/subcircuit/subcircuit.o
[  6%] Building libs/ezsat/ezsat.o
[  7%] Building libs/ezsat/ezminisat.o
[  7%] Building libs/minisat/Options.o
[  8%] Building libs/minisat/SimpSolver.o
[  8%] Building libs/minisat/Solver.o
[  8%] Building libs/minisat/System.o
[  9%] Building frontends/aiger/aigerparse.o
[  9%] Building frontends/ast/ast.o
[ 10%] Building frontends/ast/simplify.o
[ 10%] Building frontends/ast/genrtlil.o
[ 10%] Building frontends/ast/dpicall.o
[ 11%] Building frontends/blif/blifparse.o
[ 11%] Building frontends/ilang/ilang_parser.tab.cc
[ 11%] Building frontends/ilang/ilang_lexer.cc
[ 12%] Building frontends/ilang/ilang_frontend.o
[ 12%] Building frontends/json/jsonparse.o
[ 13%] Building frontends/liberty/liberty.o
[ 13%] Building frontends/verific/verific.o
[ 13%] Building frontends/verilog/verilog_parser.tab.cc
[ 13%] Building frontends/verilog/verilog_lexer.cc
[ 14%] Building frontends/verilog/preproc.o
[ 15%] Building frontends/verilog/verilog_frontend.o
[ 15%] Building frontends/verilog/const2ast.o
[ 16%] Building passes/cmds/add.o
[ 16%] Building passes/cmds/delete.o
[ 16%] Building passes/cmds/design.o
[ 17%] Building passes/cmds/select.o
[ 17%] Building passes/cmds/show.o
[ 18%] Building passes/cmds/rename.o
[ 18%] Building passes/cmds/connect.o
[ 18%] Building passes/cmds/scatter.o
[ 19%] Building passes/cmds/setundef.o
[ 19%] Building passes/cmds/splitnets.o
[ 20%] Building passes/cmds/stat.o
[ 20%] Building passes/cmds/setattr.o
[ 20%] Building passes/cmds/copy.o
[ 21%] Building passes/cmds/splice.o
[ 21%] Building passes/cmds/scc.o
[ 22%] Building passes/cmds/torder.o
[ 22%] Building passes/cmds/logcmd.o
[ 22%] Building passes/cmds/tee.o
[ 23%] Building passes/cmds/write_file.o
[ 23%] Building passes/cmds/connwrappers.o
[ 24%] Building passes/cmds/cover.o
[ 24%] Building passes/cmds/trace.o
[ 24%] Building passes/cmds/plugin.o
[ 25%] Building passes/cmds/check.o
[ 25%] Building passes/cmds/qwp.o
[ 26%] Building passes/cmds/edgetypes.o
[ 26%] Building passes/cmds/portlist.o
[ 26%] Building passes/cmds/chformal.o
[ 27%] Building passes/cmds/chtype.o
[ 27%] Building passes/cmds/blackbox.o
[ 28%] Building passes/cmds/ltp.o
[ 28%] Building passes/cmds/bugpoint.o
[ 28%] Building passes/equiv/equiv_make.o
[ 29%] Building passes/equiv/equiv_miter.o
[ 29%] Building passes/equiv/equiv_simple.o
[ 30%] Building passes/equiv/equiv_status.o
[ 30%] Building passes/equiv/equiv_add.o
[ 30%] Building passes/equiv/equiv_remove.o
[ 31%] Building passes/equiv/equiv_induct.o
[ 31%] Building passes/equiv/equiv_struct.o
[ 32%] Building passes/equiv/equiv_purge.o
[ 32%] Building passes/equiv/equiv_mark.o
[ 32%] Building passes/equiv/equiv_opt.o
[ 33%] Building passes/fsm/fsm.o
[ 33%] Building passes/fsm/fsm_detect.o
[ 34%] Building passes/fsm/fsm_extract.o
[ 34%] Building passes/fsm/fsm_opt.o
[ 34%] Building passes/fsm/fsm_expand.o
[ 35%] Building passes/fsm/fsm_recode.o
[ 35%] Building passes/fsm/fsm_info.o
[ 36%] Building passes/fsm/fsm_export.o
[ 36%] Building passes/fsm/fsm_map.o
[ 36%] Building passes/hierarchy/hierarchy.o
[ 37%] Building passes/hierarchy/uniquify.o
[ 37%] Building passes/hierarchy/submod.o
[ 38%] Building passes/memory/memory.o
[ 38%] Building passes/memory/memory_dff.o
[ 38%] Building passes/memory/memory_share.o
[ 39%] Building passes/memory/memory_collect.o
[ 39%] Building passes/memory/memory_unpack.o
[ 40%] Building passes/memory/memory_bram.o
[ 40%] Building passes/memory/memory_map.o
[ 40%] Building passes/memory/memory_memx.o
[ 41%] Building passes/memory/memory_nordff.o
[ 41%] Building passes/opt/opt.o
[ 42%] Building passes/opt/opt_merge.o
[ 42%] Building passes/opt/opt_muxtree.o
[ 42%] Building passes/opt/opt_reduce.o
[ 43%] Building passes/opt/opt_rmdff.o
[ 43%] Building passes/opt/opt_share.o
[ 44%] Building passes/opt/opt_clean.o
[ 44%] Building passes/opt/opt_expr.o
[ 44%] Building passes/opt/share.o
[ 45%] Building passes/opt/wreduce.o
[ 45%] Building passes/opt/opt_demorgan.o
[ 46%] Building passes/opt/rmports.o
[ 46%] Building passes/opt/opt_lut.o
[ 46%] Building passes/opt/pmux2shiftx.o
[ 47%] Building passes/opt/muxpack.o
[ 47%] Building passes/pmgen/test_pmgen_pm.h
[ 47%] Building passes/pmgen/ice40_dsp_pm.h
[ 47%] Building passes/pmgen/peepopt_pm.h
[ 47%] Building passes/pmgen/xilinx_srl_pm.h
[ 48%] Building passes/pmgen/ice40_dsp.o
[ 48%] Building passes/pmgen/ice40_wrapcarry_pm.h
[ 48%] Building passes/pmgen/peepopt.o
[ 49%] Building passes/pmgen/xilinx_srl.o
[ 49%] Building passes/proc/proc.o
[ 50%] Building passes/proc/proc_prune.o
[ 50%] Building passes/proc/proc_clean.o
[ 50%] Building passes/proc/proc_rmdead.o
[ 51%] Building passes/proc/proc_init.o
[ 51%] Building passes/proc/proc_arst.o
[ 52%] Building passes/proc/proc_mux.o
[ 52%] Building passes/proc/proc_dlatch.o
[ 52%] Building passes/proc/proc_dff.o
[ 53%] Building passes/sat/sat.o
[ 53%] Building passes/sat/freduce.o
[ 54%] Building passes/sat/eval.o
[ 54%] Building passes/sat/sim.o
[ 54%] Building passes/sat/miter.o
[ 55%] Building passes/sat/expose.o
[ 55%] Building passes/sat/assertpmux.o
[ 56%] Building passes/sat/clk2fflogic.o
[ 56%] Building passes/sat/async2sync.o
[ 56%] Building passes/sat/supercover.o
[ 57%] Building passes/sat/fmcombine.o
[ 57%] Building passes/sat/mutate.o
[ 58%] Building passes/sat/cutpoint.o
[ 58%] Building passes/techmap/techmap.inc
[ 58%] Building passes/techmap/simplemap.o
[ 59%] Building passes/techmap/dfflibmap.o
[ 59%] Building passes/techmap/maccmap.o
[ 60%] Building passes/techmap/libparse.o
[ 60%] Building passes/techmap/abc.o
[ 60%] Building passes/techmap/abc9.o
[ 61%] Building passes/techmap/iopadmap.o
[ 61%] Building passes/techmap/clkbufmap.o
[ 62%] Building passes/techmap/hilomap.o
[ 62%] Building passes/techmap/extract.o
[ 62%] Building passes/techmap/extract_fa.o
[ 63%] Building passes/techmap/extract_counter.o
[ 63%] Building passes/techmap/extract_reduce.o
[ 64%] Building passes/techmap/alumacc.o
[ 64%] Building passes/techmap/dff2dffe.o
[ 64%] Building passes/techmap/dffinit.o
[ 65%] Building passes/techmap/pmuxtree.o
[ 65%] Building passes/techmap/muxcover.o
[ 66%] Building passes/techmap/aigmap.o
[ 66%] Building passes/techmap/tribuf.o
[ 66%] Building passes/techmap/lut2mux.o
[ 67%] Building passes/techmap/nlutmap.o
[ 67%] Building passes/techmap/dffsr2dff.o
[ 68%] Building passes/techmap/shregmap.o
[ 68%] Building passes/techmap/deminout.o
[ 68%] Building passes/techmap/insbuf.o
[ 69%] Building passes/techmap/attrmvcp.o
[ 69%] Building passes/techmap/attrmap.o
[ 70%] Building passes/techmap/zinit.o
[ 70%] Building passes/techmap/dff2dffs.o
[ 70%] Building passes/techmap/flowmap.o
[ 71%] Building passes/techmap/extractinv.o
[ 71%] Building passes/tests/test_autotb.o
[ 72%] Building passes/tests/test_cell.o
[ 72%] Building passes/tests/test_abcloop.o
[ 72%] Building backends/aiger/aiger.o
[ 73%] Building backends/aiger/xaiger.o
[ 73%] Building backends/blif/blif.o
[ 74%] Building backends/btor/btor.o
[ 74%] Building backends/edif/edif.o
[ 74%] Building backends/firrtl/firrtl.o
[ 75%] Building backends/ilang/ilang_backend.o
[ 75%] Building backends/intersynth/intersynth.o
[ 76%] Building backends/json/json.o
[ 76%] Building backends/simplec/simplec.o
[ 76%] Building backends/smt2/smt2.o
[ 77%] Building backends/smv/smv.o
[ 77%] Building backends/spice/spice.o
[ 78%] Building backends/table/table.o
[ 78%] Building backends/verilog/verilog_backend.o
[ 78%] Building techlibs/achronix/synth_achronix.o
[ 79%] Building techlibs/anlogic/synth_anlogic.o
[ 79%] Building techlibs/anlogic/anlogic_eqn.o
[ 80%] Building techlibs/anlogic/anlogic_fixcarry.o
[ 80%] Building techlibs/common/synth.o
[ 80%] Building techlibs/common/prep.o
[ 81%] Building techlibs/coolrunner2/synth_coolrunner2.o
[ 81%] Building techlibs/coolrunner2/coolrunner2_sop.o
[ 82%] Building techlibs/easic/synth_easic.o
[ 82%] Building techlibs/ecp5/synth_ecp5.o
[ 82%] Building techlibs/ecp5/ecp5_ffinit.o
[ 83%] Building techlibs/ecp5/ecp5_gsr.o
[ 83%] Building techlibs/efinix/synth_efinix.o
[ 84%] Building techlibs/efinix/efinix_gbuf.o
[ 84%] Building techlibs/efinix/efinix_fixcarry.o
[ 84%] Building techlibs/gowin/synth_gowin.o
[ 85%] Building techlibs/gowin/determine_init.o
[ 85%] Building techlibs/greenpak4/synth_greenpak4.o
[ 86%] Building techlibs/greenpak4/greenpak4_dffinv.o
[ 86%] Building techlibs/ice40/synth_ice40.o
[ 86%] Building techlibs/ice40/ice40_braminit.o
[ 87%] Building techlibs/ice40/ice40_ffssr.o
[ 87%] Building techlibs/ice40/ice40_ffinit.o
[ 88%] Building techlibs/ice40/ice40_opt.o
[ 88%] Building techlibs/intel/synth_intel.o
[ 88%] Building techlibs/sf2/synth_sf2.o
[ 89%] Building techlibs/sf2/sf2_iobs.o
[ 89%] Building techlibs/xilinx/synth_xilinx.o
[ 99%] Building yosys-config
[ 99%] Building abc/abc-5776ad0
[ 99%] Building passes/techmap/filterlib.o
Pulling ABC from https://github.com/berkeley-abc/abc:
+ test -d abc
+ git clone https://github.com/berkeley-abc/abc abc
Cloning into 'abc'...
[ 99%] Building yosys-smtbmc
[ 99%] Building share/include/kernel/yosys.h
[ 99%] Building share/include/kernel/hashlib.h
[ 99%] Building share/include/kernel/log.h
[ 99%] Building share/include/kernel/rtlil.h
[ 99%] Building share/include/kernel/register.h
[ 99%] Building share/include/kernel/celltypes.h
[ 99%] Building share/include/kernel/celledges.h
[ 99%] Building share/include/kernel/consteval.h
[ 99%] Building share/include/kernel/sigtools.h
[ 99%] Building share/include/kernel/modtools.h
[ 99%] Building share/include/kernel/macc.h
[ 99%] Building share/include/kernel/utils.h
[ 99%] Building share/include/kernel/satgen.h
[ 99%] Building share/include/libs/ezsat/ezsat.h
[ 99%] Building share/include/libs/ezsat/ezminisat.h
remote: Enumerating objects: 128, done.
remote: Counting objects: 100% (128/128), done.
[ 99%] Building share/include/libs/sha1/sha1.h
[ 99%] Building share/include/passes/fsm/fsmdata.h
[ 99%] Building share/include/frontends/ast/ast.h
[ 99%] Building share/include/backends/ilang/ilang_backend.h
remote: Compressing objects: 100% (93/93), done.
[ 99%] Building share/python3/smtio.py
[ 99%] Building share/achronix/speedster22i/cells_sim.v
[ 99%] Building share/achronix/speedster22i/cells_map.v
[ 99%] Building share/anlogic/cells_map.v
[ 99%] Building share/anlogic/arith_map.v
[ 99%] Building share/anlogic/cells_sim.v
[ 99%] Building share/anlogic/eagle_bb.v
[ 99%] Building share/anlogic/drams.txt
[ 99%] Building share/anlogic/drams_map.v
[ 99%] Building share/anlogic/dram_init_16x4.vh
[ 99%] Building share/simlib.v
[ 99%] Building share/simcells.v
[ 99%] Building share/techmap.v
[ 99%] Building share/pmux2mux.v
[ 99%] Building share/adff2dff.v
[ 99%] Building share/dff2ff.v57044), 1.54 MiB | 3.06 MiB/s   
[ 99%] Building share/gate2lut.v
[ 99%] Building share/cmp2lut.v
[ 99%] Building share/cells.lib
[ 99%] Building share/dummy.box
[ 99%] Building share/coolrunner2/cells_latch.v| 3.06 MiB/s   
[ 99%] Building share/coolrunner2/cells_sim.v
[ 99%] Building share/coolrunner2/tff_extract.v
[ 99%] Building share/coolrunner2/xc2_dff.lib
[ 99%] Building share/ecp5/cells_ff.vh1.54 MiB | 3.06 MiB/s   
[ 99%] Building share/ecp5/cells_io.vh
[ 99%] Building share/ecp5/cells_map.v
[ 99%] Building share/ecp5/cells_sim.v
[ 99%] Building share/ecp5/cells_bb.v 5.92 MiB | 5.85 MiB/s   
[ 99%] Building share/ecp5/lutrams_map.v
[ 99%] Building share/ecp5/lutram.txt
[ 99%] Building share/ecp5/brams_map.v
[ 99%] Building share/ecp5/bram.txt), 5.92 MiB | 5.85 MiB/s   
[ 99%] Building share/ecp5/arith_map.v
[ 99%] Building share/ecp5/latches_map.v
[ 99%] Building share/ecp5/abc_map.v, 5.92 MiB | 5.85 MiB/s   
[ 99%] Building share/ecp5/abc_unmap.v
[ 99%] Building share/ecp5/abc_model.v5.92 MiB | 5.85 MiB/s   
[ 99%] Building share/ecp5/abc_5g.box, 5.92 MiB | 5.85 MiB/s   
[ 99%] Building share/ecp5/abc_5g.lut, 5.92 MiB | 5.85 MiB/s   
[ 99%] Building share/ecp5/abc_5g_nowide.lutMiB | 5.85 MiB/s   
[ 99%] Building techlibs/ecp5/brams_init.mk MiB | 5.85 MiB/s   
[ 99%] Building techlibs/ecp5/brams_connect.mkiB | 7.20 MiB/s   
[ 99%] Building share/efinix/cells_map.v0.89 MiB | 7.20 MiB/s   
[ 99%] Building share/efinix/arith_map.v0.89 MiB | 7.20 MiB/s   
[ 99%] Building share/efinix/cells_sim.v0.89 MiB | 7.20 MiB/s   
[ 99%] Building share/efinix/brams_map.v0.89 MiB | 7.20 MiB/s   
[ 99%] Building share/efinix/bram.txt, 10.89 MiB | 7.20 MiB/s   
[ 99%] Building share/gowin/cells_map.v
[ 99%] Building share/gowin/cells_sim.v10.89 MiB | 7.20 MiB/s   
[ 99%] Building share/gowin/arith_map.v10.89 MiB | 7.20 MiB/s   
[ 99%] Building share/gowin/brams_map.v10.89 MiB | 7.20 MiB/s   
[ 99%] Building share/gowin/bram.txt), 10.89 MiB | 7.20 MiB/s   
[ 99%] Building share/gowin/drams_map.v10.89 MiB | 7.20 MiB/s   
[ 99%] Building share/gowin/dram.txt), 10.89 MiB | 7.20 MiB/s   
[ 99%] Building share/gowin/brams_init3.vh
[ 99%] Building share/greenpak4/cells_blackbox.v | 7.20 MiB/s   
[ 99%] Building share/greenpak4/cells_latch.v
[ 99%] Building share/greenpak4/cells_map.v7 MiB | 7.74 MiB/s   
[ 99%] Building share/greenpak4/cells_sim.v
[ 99%] Building share/greenpak4/cells_sim_ams.vB | 7.74 MiB/s   
[ 99%] Building share/greenpak4/cells_sim_digital.v
[ 99%] Building share/greenpak4/cells_sim_wip.vB | 7.74 MiB/s   
[ 99%] Building share/greenpak4/gp_dff.lib57 MiB | 7.74 MiB/s   
[ 99%] Building share/ice40/arith_map.v15.57 MiB | 7.74 MiB/s   
[ 99%] Building share/ice40/cells_map.v
[ 99%] Building share/ice40/cells_sim.v15.57 MiB | 7.74 MiB/s   
[ 99%] Building share/ice40/latches_map.v.57 MiB | 7.74 MiB/s   
[ 99%] Building share/ice40/brams.txt, 15.57 MiB | 7.74 MiB/s   
[ 99%] Building share/ice40/brams_map.v15.57 MiB | 7.74 MiB/s   
[ 99%] Building share/ice40/abc_hx.box 15.57 MiB | 7.74 MiB/s   
[ 99%] Building share/ice40/abc_hx.lut
[ 99%] Building share/ice40/abc_lp.box
[ 99%] Building share/ice40/abc_lp.lut
[ 99%] Building share/ice40/abc_u.box, 20.32 MiB | 8.08 MiB/s   
[ 99%] Building share/ice40/abc_u.lut
[ 99%] Building techlibs/ice40/brams_init.mk
[ 99%] Building share/intel/common/m9k_bb.v
[ 99%] Building share/intel/common/altpll_bb.viB | 8.08 MiB/s   
[ 99%] Building share/intel/common/brams_m9k.txt
[ 99%] Building share/intel/common/brams_map_m9k.v 8.08 MiB/s   
[ 99%] Building share/intel/max10/cells_sim.v
[ 99%] Building share/intel/a10gx/cells_sim.v
[ 99%] Building share/intel/cyclonev/cells_sim.v | 8.08 MiB/s   
[ 99%] Building share/intel/cyclone10/cells_sim.v
[ 99%] Building share/intel/cycloneiv/cells_sim.v| 8.08 MiB/s   
[ 99%] Building share/intel/cycloneive/cells_sim.v
[ 99%] Building share/intel/max10/cells_map.v
[ 99%] Building share/intel/a10gx/cells_map.v
[ 99%] Building share/intel/cyclonev/cells_map.v | 8.31 MiB/s   
[ 99%] Building share/intel/cyclone10/cells_map.v
[ 99%] Building share/intel/cycloneiv/cells_map.v| 8.31 MiB/s   
[ 99%] Building share/intel/cycloneive/cells_map.v
[ 99%] Building share/sf2/arith_map.v, 25.05 MiB | 8.31 MiB/s   
[ 99%] Building share/sf2/cells_map.v
[ 99%] Building share/sf2/cells_sim.v
[ 99%] Building share/xilinx/cells_map.v
[ 99%] Building share/xilinx/cells_sim.v5.05 MiB | 8.31 MiB/s   
[ 99%] Building share/xilinx/xc6s_cells_xtra.v
[ 99%] Building share/xilinx/xc6v_cells_xtra.viB | 8.31 MiB/s   
[ 99%] Building share/xilinx/xc7_cells_xtra.v
[ 99%] Building share/xilinx/xcu_cells_xtra.vMiB | 8.48 MiB/s   
[ 99%] Building share/xilinx/xc6s_brams.txt
[ 99%] Building share/xilinx/xc6s_brams_map.vMiB | 8.48 MiB/s   
[ 99%] Building share/xilinx/xc6s_brams_bb.v
[ 99%] Building share/xilinx/xc7_brams.txt
[ 99%] Building share/xilinx/xc7_brams_map.v MiB | 8.48 MiB/s   
[ 99%] Building share/xilinx/xc7_brams_bb.v
[ 99%] Building share/xilinx/lutrams.txt
[ 99%] Building share/xilinx/lutrams_map.v
[ 99%] Building share/xilinx/arith_map.v
[ 99%] Building share/xilinx/xc6s_ff_map.v78 MiB | 8.48 MiB/s   
[ 99%] Building share/xilinx/xc7_ff_map.v.50 MiB | 8.60 MiB/s   
[ 99%] Building share/xilinx/lut_map.v
[ 99%] Building share/xilinx/mux_map.v
[ 99%] Building share/xilinx/abc_map.v
[ 99%] Building share/xilinx/abc_unmap.v
[ 99%] Building share/xilinx/abc_model.v4.50 MiB | 8.60 MiB/s   
[ 99%] Building share/xilinx/abc_xc7.box
[ 99%] Building share/xilinx/abc_xc7.lut4.50 MiB | 8.60 MiB/s   
[ 99%] Building share/xilinx/abc_xc7_nowide.lut
[ 99%] Building techlibs/xilinx/brams_init.mk
[ 99%] Building kernel/version_ce0631c.o4.50 MiB | 8.60 MiB/s   
[ 99%] Building kernel/register.o044), 34.50 MiB | 8.60 MiB/s   
[ 99%] Building frontends/ilang/ilang_parser.tab.o
[ 99%] Building frontends/ilang/ilang_lexer.oMiB | 8.68 MiB/s   
[ 99%] Building frontends/verilog/verilog_parser.tab.o
[ 99%] Building frontends/verilog/verilog_lexer.o
[ 99%] Building passes/pmgen/test_pmgen.o
[ 99%] Building passes/pmgen/ice40_wrapcarry.o
remote: Total 57044 (delta 68), reused 69 (delta 35), pack-reused 56916
Receiving objects: 100% (57044/57044), 41.36 MiB | 9.38 MiB/s, done.
[ 99%] Building passes/techmap/techmap.o
[ 99%] Building yosys-filterlib8697)   
[ 99%] Building share/ecp5/bram_init_1_2_4.vh
[ 99%] Building share/ecp5/bram_init_9_18_36.vh
Resolving deltas: 100% (48697/48697), done.
[ 99%] Building share/ecp5/bram_conn_1.vh
[ 99%] Building share/ecp5/bram_conn_2.vh
Checking connectivity... done.
[ 99%] Building share/ecp5/bram_conn_4.vh
[ 99%] Building share/ecp5/bram_conn_9.vh
[ 99%] Building share/ecp5/bram_conn_18.vh
[ 99%] Building share/ice40/brams_init1.vh
[ 99%] Building share/ice40/brams_init2.vh
[ 99%] Building share/ice40/brams_init3.vh
[ 99%] Building share/xilinx/brams_init_36.vh
[ 99%] Building share/xilinx/brams_init_32.vh
[ 99%] Building share/xilinx/brams_init_18.vh
[ 99%] Building share/xilinx/brams_init_16.vh
[ 99%] Building share/xilinx/brams_init_9.vh
[ 99%] Building share/xilinx/brams_init_8.vh
+ cd abc
+ /Library/Developer/CommandLineTools/usr/bin/make DEP= clean
Using CC=gcc
Using CXX=g++
Using LD=g++
Compiling with CUDD
Using libreadline
Using pthreads
Found GCC_VERSION 4.2.1
Found GCC_MAJOR==4
Using CFLAGS=-Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DLIN64 -DSIZEOF_VOID_P=8 -DSIZEOF_LONG=8 -DSIZEOF_INT=4 -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS
`` Cleaning up...
arch_flags
+ git fetch origin master
From https://github.com/berkeley-abc/abc
 * branch            master     -> FETCH_HEAD
+ git checkout 5776ad0
Note: checking out '5776ad0'.

You are in 'detached HEAD' state. You can look around, make experimental
changes and commit them, and you can discard any commits you make in this
state without impacting any branches by performing another checkout.

If you want to create a new branch to retain commits you create, you may
do so (now or later) by using -b with the checkout command again. Example:

  git checkout -b <new-branch-name>

HEAD is now at 5776ad0... Preserving boxes after &write.
[ 94%] ABC: Using CC=clang
[ 94%] ABC: Using CXX=clang
[ 94%] ABC: Using LD=clang
[ 94%] ABC: Compiling with CUDD
[ 94%] ABC: Using libreadline
[ 94%] ABC: Using pthreads
[ 94%] ABC: Using explicit -lstdc++
[ 94%] ABC: Using CFLAGS=-Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS
[ 94%] ABC: `` Generating dependency: 
Teig: LIST REMOVED
[ 94%] ABC: Using CC=clang
[ 94%] ABC: Using CXX=clang
[ 94%] ABC: Using LD=clang
[ 94%] ABC: Compiling with CUDD
[ 94%] ABC: Using libreadline
[ 94%] ABC: Using pthreads
[ 94%] ABC: Using explicit -lstdc++
[ 94%] ABC: Using CFLAGS=-Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_USE_CUDD=1 -DABC_USE_READLINE  -DABC_USE_PTHREADS
[ 94%] ABC: `` Compiling: /src/sat/glucose/AbcGlucose.cpp
[ 94%] ABC: `` Compiling: /src/sat/glucose/AbcGlucoseCmd.cpp
[ 94%] ABC: `` Compiling: /src/sat/glucose/Glucose.cpp
[ 94%] ABC: `` Compiling: /src/sat/glucose/Options.cpp
[ 94%] ABC: `` Compiling: /src/sat/glucose/SimpSolver.cpp
[ 94%] ABC: `` Compiling: /src/sat/glucose/System.cpp
[ 94%] ABC: `` Compiling: /src/base/abc/abcAig.c
src/sat/glucose/Glucose.cpp:1189:45: warning: format specifies type 'long' but the argument has type 'int64_t' (aka 'long long') [-Wformat]
  printf("c restarts              : %ld\n", starts);
                                    ~~~     ^~~~~~
                                    %lld
src/sat/glucose/Glucose.cpp:1190:45: warning: format specifies type 'long' but the argument has type 'int64_t' (aka 'long long') [-Wformat]
  printf("c nb ReduceDB           : %ld\n", nbReduceDB);
                                    ~~~     ^~~~~~~~~~
                                    %lld
src/sat/glucose/Glucose.cpp:1191:45: warning: format specifies type 'long' but the argument has type 'int64_t' (aka 'long long') [-Wformat]
  printf("c nb removed Clauses    : %ld\n", nbRemovedClauses);
                                    ~~~     ^~~~~~~~~~~~~~~~
                                    %lld
src/sat/glucose/Glucose.cpp:1192:45: warning: format specifies type 'long' but the argument has type 'int64_t' (aka 'long long') [-Wformat]
  printf("c nb learnts DL2        : %ld\n", nbDL2);
                                    ~~~     ^~~~~
                                    %lld
src/sat/glucose/Glucose.cpp:1193:45: warning: format specifies type 'long' but the argument has type 'int64_t' (aka 'long long') [-Wformat]
  printf("c nb learnts size 2     : %ld\n", nbBin);
                                    ~~~     ^~~~~
                                    %lld
src/sat/glucose/Glucose.cpp:1194:45: warning: format specifies type 'long' but the argument has type 'int64_t' (aka 'long long') [-Wformat]
  printf("c nb learnts size 1     : %ld\n", nbUn);
                                    ~~~     ^~~~
                                    %lld
src/sat/glucose/Glucose.cpp:1196:45: warning: format specifies type 'long' but the argument has type 'int64_t' (aka 'long long') [-Wformat]
  printf("c conflicts             : %ld\n", conflicts);
                                    ~~~     ^~~~~~~~~
                                    %lld
src/sat/glucose/Glucose.cpp:1197:45: warning: format specifies type 'long' but the argument has type 'int64_t' (aka 'long long') [-Wformat]
  printf("c decisions             : %ld\n", decisions);
                                    ~~~     ^~~~~~~~~
                                    %lld
src/sat/glucose/Glucose.cpp:1198:45: warning: format specifies type 'long' but the argument has type 'int64_t' (aka 'long long') [-Wformat]
  printf("c propagations          : %ld\n", propagations);
                                    ~~~     ^~~~~~~~~~~~
                                    %lld
[ 94%] ABC: `` Compiling: /src/base/abc/abcBarBuf.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcBlifMv.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcCheck.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcDfs.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcFanio.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcFanOrder.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcFunc.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcHie.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcHieCec.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcHieGia.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcHieNew.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcLatch.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcLib.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcMinBase.c
9 warnings generated.
[ 94%] ABC: `` Compiling: /src/base/abc/abcNames.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcNetlist.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcNtk.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcObj.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcRefs.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcShow.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcSop.c
[ 94%] ABC: `` Compiling: /src/base/abc/abcUtil.c
[ 94%] ABC: `` Compiling: /src/base/abci/abc.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcAttach.c
In file included from src/base/abci/abc.c:46:
In file included from ./src/sat/satoko/satoko.h:12:
In file included from ./src/sat/satoko/types.h:12:
./src/sat/satoko/utils/sdbl.h:124:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", double2sdbl(1 /0.95));
            ~~~~~~     ^~~~~~~~~~~~~~~~~~~~
            %016llX
./src/sat/satoko/utils/sdbl.h:125:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", SDBL_CONST1);
            ~~~~~~     ^~~~~~~~~~~
            %016llX
[ 94%] ABC: `` Compiling: /src/base/abci/abcAuto.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcBalance.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcBidec.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcBm.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcBmc.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcCas.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcCascade.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcCollapse.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcCut.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcDar.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcDebug.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcDec.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcDetect.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcDress.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcDress2.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcDress3.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcDsd.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcEco.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcExact.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcExtract.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcFraig.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcFx.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcFxu.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcGen.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcHaig.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcIf.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcIfif.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcIfMux.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcIvy.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcLog.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcLut.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcLutmin.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcMap.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcMerge.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcMfs.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcMini.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcMiter.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcMulti.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcNtbdd.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcNpn.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcNpnSave.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcOdc.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcOrder.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcPart.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcPrint.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcProve.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcQbf.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcQuant.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcRec3.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcReconv.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcReach.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcRefactor.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcRenode.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcReorder.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcRestruct.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcResub.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcRewrite.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcRpo.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcRr.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcRunGen.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcSat.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcSaucy.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcScorr.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcSense.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcSpeedup.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcStrash.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcSweep.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcSymm.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcTim.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcTiming.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcUnate.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcUnreach.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcVerify.c
[ 94%] ABC: `` Compiling: /src/base/abci/abcXsim.c
[ 94%] ABC: `` Compiling: /src/base/cmd/cmd.c
[ 94%] ABC: `` Compiling: /src/base/cmd/cmdAlias.c
[ 94%] ABC: `` Compiling: /src/base/cmd/cmdApi.c
[ 94%] ABC: `` Compiling: /src/base/cmd/cmdAuto.c
In file included from src/base/cmd/cmdAuto.c:28:
In file included from ./src/sat/satoko/satoko.h:12:
In file included from ./src/sat/satoko/types.h:12:
./src/sat/satoko/utils/sdbl.h:124:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", double2sdbl(1 /0.95));
            ~~~~~~     ^~~~~~~~~~~~~~~~~~~~
            %016llX
./src/sat/satoko/utils/sdbl.h:125:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", SDBL_CONST1);
            ~~~~~~     ^~~~~~~~~~~
            %016llX
[ 94%] ABC: `` Compiling: /src/base/cmd/cmdFlag.c
[ 94%] ABC: `` Compiling: /src/base/cmd/cmdHist.c
[ 94%] ABC: `` Compiling: /src/base/cmd/cmdLoad.c
2 warnings generated.
[ 94%] ABC: `` Compiling: /src/base/cmd/cmdPlugin.c
[ 94%] ABC: `` Compiling: /src/base/cmd/cmdStarter.c
[ 94%] ABC: `` Compiling: /src/base/cmd/cmdUtils.c
[ 94%] ABC: `` Compiling: /src/base/io/io.c
2 warnings generated.
[ 94%] ABC: `` Compiling: /src/base/io/ioJson.c
[ 94%] ABC: `` Compiling: /src/base/io/ioReadAiger.c
[ 94%] ABC: `` Compiling: /src/base/io/ioReadBaf.c
[ 94%] ABC: `` Compiling: /src/base/io/ioReadBblif.c
[ 94%] ABC: `` Compiling: /src/base/io/ioReadBench.c
[ 94%] ABC: `` Compiling: /src/base/io/ioReadBlif.c
[ 94%] ABC: `` Compiling: /src/base/io/ioReadBlifAig.c
[ 94%] ABC: `` Compiling: /src/base/io/ioReadBlifMv.c
[ 94%] ABC: `` Compiling: /src/base/io/ioReadDsd.c
[ 94%] ABC: `` Compiling: /src/base/io/ioReadEdif.c
[ 94%] ABC: `` Compiling: /src/base/io/ioReadEqn.c
[ 94%] ABC: `` Compiling: /src/base/io/ioReadPla.c
[ 94%] ABC: `` Compiling: /src/base/io/ioReadPlaMo.c
[ 94%] ABC: `` Compiling: /src/base/io/ioReadVerilog.c
[ 94%] ABC: `` Compiling: /src/base/io/ioUtil.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteAiger.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteBaf.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteBblif.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteBench.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteBlif.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteBlifMv.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteBook.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteCnf.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteDot.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteEqn.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteGml.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteList.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWritePla.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteVerilog.c
[ 94%] ABC: `` Compiling: /src/base/io/ioWriteSmv.c
[ 94%] ABC: `` Compiling: /src/base/main/main.c
[ 94%] ABC: `` Compiling: /src/base/main/mainFrame.c
[ 94%] ABC: `` Compiling: /src/base/main/mainInit.c
[ 94%] ABC: `` Compiling: /src/base/main/mainLib.c
[ 94%] ABC: `` Compiling: /src/base/main/mainReal.c
[ 94%] ABC: `` Compiling: /src/base/main/libSupport.c
[ 94%] ABC: `` Compiling: /src/base/main/mainUtils.c
[ 94%] ABC: `` Compiling: /src/base/exor/exor.c
[ 94%] ABC: `` Compiling: /src/base/exor/exorBits.c
[ 94%] ABC: `` Compiling: /src/base/exor/exorCubes.c
[ 94%] ABC: `` Compiling: /src/base/exor/exorLink.c
[ 94%] ABC: `` Compiling: /src/base/exor/exorList.c
[ 94%] ABC: `` Compiling: /src/base/exor/exorUtil.c
[ 94%] ABC: `` Compiling: /src/base/ver/verCore.c
[ 94%] ABC: `` Compiling: /src/base/ver/verFormula.c
[ 94%] ABC: `` Compiling: /src/base/ver/verParse.c
[ 94%] ABC: `` Compiling: /src/base/ver/verStream.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcAbs.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcAbs2.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcAbc.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcPth.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcBlast.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcCom.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcGraft.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcJson.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcMem.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcNdr.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcNtk.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcReadSmt.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcReadVer.c
[100%] Building yosys
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcSim.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcShow.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcStdin.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcUif.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcWin.c
[ 94%] ABC: `` Compiling: /src/base/wlc/wlcWriteVer.c
[ 94%] ABC: `` Compiling: /src/base/wln/wln.c
[ 94%] ABC: `` Compiling: /src/base/wln/wlnMem.c
[ 94%] ABC: `` Compiling: /src/base/wln/wlnNdr.c
[ 94%] ABC: `` Compiling: /src/base/wln/wlnNtk.c
[ 94%] ABC: `` Compiling: /src/base/wln/wlnObj.c
[ 94%] ABC: `` Compiling: /src/base/wln/wlnRetime.c
[ 94%] ABC: `` Compiling: /src/base/wln/wlnWlc.c
[ 94%] ABC: `` Compiling: /src/base/wln/wlnWriteVer.c
[ 94%] ABC: `` Compiling: /src/base/acb/acbAbc.c
[ 94%] ABC: `` Compiling: /src/base/acb/acbAig.c
[ 94%] ABC: `` Compiling: /src/base/acb/acbCom.c
[ 94%] ABC: `` Compiling: /src/base/acb/acbFunc.c
[ 94%] ABC: `` Compiling: /src/base/acb/acbMfs.c
In file included from src/base/acb/acbFunc.c:25:
In file included from ./src/sat/satoko/satoko.h:12:
In file included from ./src/sat/satoko/types.h:12:
./src/sat/satoko/utils/sdbl.h:124:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", double2sdbl(1 /0.95));
            ~~~~~~     ^~~~~~~~~~~~~~~~~~~~
            %016llX
./src/sat/satoko/utils/sdbl.h:125:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", SDBL_CONST1);
            ~~~~~~     ^~~~~~~~~~~
            %016llX
[ 94%] ABC: `` Compiling: /src/base/acb/acbPush.c
[ 94%] ABC: `` Compiling: /src/base/acb/acbSets.c
[ 94%] ABC: `` Compiling: /src/base/acb/acbUtil.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacBlast.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacBac.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacCom.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacLib.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacNtk.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacPrsBuild.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacPrsTrans.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacPtr.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacPtrAbc.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacReadBlif.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacReadSmt.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacReadVer.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacWriteBlif.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacWriteSmt.c
[ 94%] ABC: `` Compiling: /src/base/bac/bacWriteVer.c
[ 94%] ABC: `` Compiling: /src/base/cba/cbaBlast.c
[ 94%] ABC: `` Compiling: /src/base/cba/cbaCba.c
[ 94%] ABC: `` Compiling: /src/base/cba/cbaCom.c
[ 94%] ABC: `` Compiling: /src/base/cba/cbaNtk.c
[ 94%] ABC: `` Compiling: /src/base/cba/cbaReadBlif.c
[ 94%] ABC: `` Compiling: /src/base/cba/cbaReadVer.c
2 warnings generated.
[ 94%] ABC: `` Compiling: /src/base/cba/cbaWriteBlif.c
[ 94%] ABC: `` Compiling: /src/base/cba/cbaWriteVer.c
[ 94%] ABC: `` Compiling: /src/base/pla/plaCom.c
[ 94%] ABC: `` Compiling: /src/base/pla/plaHash.c
[ 94%] ABC: `` Compiling: /src/base/pla/plaMan.c
[ 94%] ABC: `` Compiling: /src/base/pla/plaMerge.c
[ 94%] ABC: `` Compiling: /src/base/pla/plaSimple.c
[ 94%] ABC: `` Compiling: /src/base/pla/plaRead.c
[ 94%] ABC: `` Compiling: /src/base/pla/plaWrite.c
[ 94%] ABC: `` Compiling: /src/base/test/test.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapper.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperCanon.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperCore.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperCreate.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperCut.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperCutUtils.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperLib.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperMatch.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperRefs.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperSuper.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperSwitch.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperTable.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperTime.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperTree.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperTruth.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperUtils.c
[ 94%] ABC: `` Compiling: /src/map/mapper/mapperVec.c
[ 94%] ABC: `` Compiling: /src/map/mio/mio.c
[ 94%] ABC: `` Compiling: /src/map/mio/mioApi.c
[ 94%] ABC: `` Compiling: /src/map/mio/mioFunc.c
[ 94%] ABC: `` Compiling: /src/map/mio/mioParse.c
[ 94%] ABC: `` Compiling: /src/map/mio/mioRead.c
[ 94%] ABC: `` Compiling: /src/map/mio/mioSop.c
[ 94%] ABC: `` Compiling: /src/map/mio/mioUtils.c
[ 94%] ABC: `` Compiling: /src/map/super/super.c
[ 94%] ABC: `` Compiling: /src/map/super/superAnd.c
[ 94%] ABC: `` Compiling: /src/map/super/superGate.c
[ 94%] ABC: `` Compiling: /src/map/if/ifCom.c
[ 94%] ABC: `` Compiling: /src/map/if/ifCache.c
[ 94%] ABC: `` Compiling: /src/map/if/ifCore.c
[ 94%] ABC: `` Compiling: /src/map/if/ifCut.c
[ 94%] ABC: `` Compiling: /src/map/if/ifData2.c
[ 94%] ABC: `` Compiling: /src/map/if/ifDec07.c
[ 94%] ABC: `` Compiling: /src/map/if/ifDec08.c
[ 94%] ABC: `` Compiling: /src/map/if/ifDec10.c
[ 94%] ABC: `` Compiling: /src/map/if/ifDec16.c
[ 94%] ABC: `` Compiling: /src/map/if/ifDec75.c
[ 94%] ABC: `` Compiling: /src/map/if/ifDelay.c
[ 94%] ABC: `` Compiling: /src/map/if/ifDsd.c
[ 94%] ABC: `` Compiling: /src/map/if/ifLibBox.c
[ 94%] ABC: `` Compiling: /src/map/if/ifLibLut.c
[ 94%] ABC: `` Compiling: /src/map/if/ifMan.c
[ 94%] ABC: `` Compiling: /src/map/if/ifMap.c
[ 94%] ABC: `` Compiling: /src/map/if/ifMatch2.c
[ 94%] ABC: `` Compiling: /src/map/if/ifReduce.c
[ 94%] ABC: `` Compiling: /src/map/if/ifSat.c
[ 94%] ABC: `` Compiling: /src/map/if/ifSelect.c
[ 94%] ABC: `` Compiling: /src/map/if/ifSeq.c
[ 94%] ABC: `` Compiling: /src/map/if/ifTest.c
[ 94%] ABC: `` Compiling: /src/map/if/ifTime.c
[ 94%] ABC: `` Compiling: /src/map/if/ifTruth.c
[ 94%] ABC: `` Compiling: /src/map/if/ifTune.c
[ 94%] ABC: `` Compiling: /src/map/if/ifUtil.c
[ 94%] ABC: `` Compiling: /src/map/amap/amapCore.c
[ 94%] ABC: `` Compiling: /src/map/amap/amapGraph.c
[ 94%] ABC: `` Compiling: /src/map/amap/amapLib.c
[ 94%] ABC: `` Compiling: /src/map/amap/amapLiberty.c
[ 94%] ABC: `` Compiling: /src/map/amap/amapMan.c
[ 94%] ABC: `` Compiling: /src/map/amap/amapMatch.c
[ 94%] ABC: `` Compiling: /src/map/amap/amapMerge.c
[ 94%] ABC: `` Compiling: /src/map/amap/amapOutput.c
[ 94%] ABC: `` Compiling: /src/map/amap/amapParse.c
[ 94%] ABC: `` Compiling: /src/map/amap/amapPerm.c
[ 94%] ABC: `` Compiling: /src/map/amap/amapRead.c
[ 94%] ABC: `` Compiling: /src/map/amap/amapRule.c
[ 94%] ABC: `` Compiling: /src/map/amap/amapUniq.c
[ 94%] ABC: `` Compiling: /src/map/cov/covBuild.c
[ 94%] ABC: `` Compiling: /src/map/cov/covCore.c
[ 94%] ABC: `` Compiling: /src/map/cov/covMan.c
[ 94%] ABC: `` Compiling: /src/map/cov/covMinEsop.c
[ 94%] ABC: `` Compiling: /src/map/cov/covMinMan.c
[ 94%] ABC: `` Compiling: /src/map/cov/covMinSop.c
[ 94%] ABC: `` Compiling: /src/map/cov/covMinUtil.c
[ 94%] ABC: `` Compiling: /src/map/scl/scl.c
[ 94%] ABC: `` Compiling: /src/map/scl/sclBuffer.c
[ 94%] ABC: `` Compiling: /src/map/scl/sclBufSize.c
[ 94%] ABC: `` Compiling: /src/map/scl/sclDnsize.c
[ 94%] ABC: `` Compiling: /src/map/scl/sclLiberty.c
[ 94%] ABC: `` Compiling: /src/map/scl/sclLibScl.c
[ 94%] ABC: `` Compiling: /src/map/scl/sclLibUtil.c
[ 94%] ABC: `` Compiling: /src/map/scl/sclLoad.c
[ 94%] ABC: `` Compiling: /src/map/scl/sclSize.c
[ 94%] ABC: `` Compiling: /src/map/scl/sclUpsize.c
[ 94%] ABC: `` Compiling: /src/map/scl/sclUtil.c
[ 94%] ABC: `` Compiling: /src/map/mpm/mpmAbc.c
[ 94%] ABC: `` Compiling: /src/map/mpm/mpmCore.c
[ 94%] ABC: `` Compiling: /src/map/mpm/mpmDsd.c
[ 94%] ABC: `` Compiling: /src/map/mpm/mpmGates.c
[ 94%] ABC: `` Compiling: /src/map/mpm/mpmLib.c
[ 94%] ABC: `` Compiling: /src/map/mpm/mpmMan.c
[ 94%] ABC: `` Compiling: /src/map/mpm/mpmMap.c
[ 94%] ABC: `` Compiling: /src/map/mpm/mpmMig.c
[ 94%] ABC: `` Compiling: /src/map/mpm/mpmPre.c
[ 94%] ABC: `` Compiling: /src/map/mpm/mpmTruth.c
[ 94%] ABC: `` Compiling: /src/map/mpm/mpmUtil.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilBitMatrix.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilCanon.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilCfs.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilCube.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilDsd.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilEnum.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilFile.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilGen.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilMacc.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilMaj.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilMemory.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilMisc.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilMult.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilPath.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilPerm.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilProgress.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilReader.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilSupp.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilTruth.c
[ 94%] ABC: `` Compiling: /src/misc/extra/extraUtilUtil.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcApi.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcCompare.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcContain.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcCover.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcCube.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcDivide.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcDivisor.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcList.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcLits.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcMan.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcOpAlg.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcOpBool.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcPrint.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcSort.c
[ 94%] ABC: `` Compiling: /src/misc/mvc/mvcUtils.c
[ 94%] ABC: `` Compiling: /src/misc/st/st.c
[ 94%] ABC: `` Compiling: /src/misc/st/stmm.c
[ 94%] ABC: `` Compiling: /src/misc/util/utilBridge.c
[ 94%] ABC: `` Compiling: /src/misc/util/utilCex.c
[ 94%] ABC: `` Compiling: /src/misc/util/utilColor.c
[ 94%] ABC: `` Compiling: /src/misc/util/utilFile.c
[ 94%] ABC: `` Compiling: /src/misc/util/utilIsop.c
[ 94%] ABC: `` Compiling: /src/misc/util/utilNam.c
[ 94%] ABC: `` Compiling: /src/misc/util/utilSignal.c
[ 94%] ABC: `` Compiling: /src/misc/util/utilSort.c
[ 94%] ABC: `` Compiling: /src/misc/nm/nmApi.c
[ 94%] ABC: `` Compiling: /src/misc/nm/nmTable.c
[ 94%] ABC: `` Compiling: /src/misc/tim/timBox.c
[ 94%] ABC: `` Compiling: /src/misc/tim/timDump.c
[ 94%] ABC: `` Compiling: /src/misc/tim/timMan.c
[ 94%] ABC: `` Compiling: /src/misc/tim/timTime.c
[ 94%] ABC: `` Compiling: /src/misc/tim/timTrav.c
[ 94%] ABC: `` Compiling: /src/misc/bzlib/blocksort.c
[ 94%] ABC: `` Compiling: /src/misc/bzlib/bzlib.c
[ 94%] ABC: `` Compiling: /src/misc/bzlib/compress.c
[ 94%] ABC: `` Compiling: /src/misc/bzlib/crctable.c
[ 94%] ABC: `` Compiling: /src/misc/bzlib/decompress.c
[ 94%] ABC: `` Compiling: /src/misc/bzlib/huffman.c
[ 94%] ABC: `` Compiling: /src/misc/bzlib/randtable.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/adler32.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/compress_.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/crc32.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/deflate.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/gzclose.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/gzlib.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/gzread.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/gzwrite.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/infback.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/inffast.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/inflate.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/inftrees.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/trees.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/uncompr.c
[ 94%] ABC: `` Compiling: /src/misc/zlib/zutil.c
[ 94%] ABC: `` Compiling: /src/misc/mem/mem.c
[ 94%] ABC: `` Compiling: /src/misc/bar/bar.c
[ 94%] ABC: `` Compiling: /src/misc/bbl/bblif.c
[ 94%] ABC: `` Compiling: /src/misc/parse/parseEqn.c
[ 94%] ABC: `` Compiling: /src/misc/parse/parseStack.c
[ 94%] ABC: `` Compiling: /src/opt/cut/cutApi.c
[ 94%] ABC: `` Compiling: /src/opt/cut/cutCut.c
[ 94%] ABC: `` Compiling: /src/opt/cut/cutMan.c
[ 94%] ABC: `` Compiling: /src/opt/cut/cutMerge.c
[ 94%] ABC: `` Compiling: /src/opt/cut/cutNode.c
[ 94%] ABC: `` Compiling: /src/opt/cut/cutOracle.c
[ 94%] ABC: `` Compiling: /src/opt/cut/cutPre22.c
[ 94%] ABC: `` Compiling: /src/opt/cut/cutSeq.c
[ 94%] ABC: `` Compiling: /src/opt/cut/cutTruth.c
[ 94%] ABC: `` Compiling: /src/opt/fxu/fxu.c
[ 94%] ABC: `` Compiling: /src/opt/fxu/fxuCreate.c
[ 94%] ABC: `` Compiling: /src/opt/fxu/fxuHeapD.c
[ 94%] ABC: `` Compiling: /src/opt/fxu/fxuHeapS.c
[ 94%] ABC: `` Compiling: /src/opt/fxu/fxuList.c
[ 94%] ABC: `` Compiling: /src/opt/fxu/fxuMatrix.c
[ 94%] ABC: `` Compiling: /src/opt/fxu/fxuPair.c
[ 94%] ABC: `` Compiling: /src/opt/fxu/fxuPrint.c
[ 94%] ABC: `` Compiling: /src/opt/fxu/fxuReduce.c
[ 94%] ABC: `` Compiling: /src/opt/fxu/fxuSelect.c
[ 94%] ABC: `` Compiling: /src/opt/fxu/fxuSingle.c
[ 94%] ABC: `` Compiling: /src/opt/fxu/fxuUpdate.c
[ 94%] ABC: `` Compiling: /src/opt/fxch/Fxch.c
[ 94%] ABC: `` Compiling: /src/opt/fxch/FxchDiv.c
[ 94%] ABC: `` Compiling: /src/opt/fxch/FxchMan.c
[ 94%] ABC: `` Compiling: /src/opt/fxch/FxchSCHashTable.c
[ 94%] ABC: `` Compiling: /src/opt/rwr/rwrDec.c
[ 94%] ABC: `` Compiling: /src/opt/rwr/rwrEva.c
[ 94%] ABC: `` Compiling: /src/opt/rwr/rwrExp.c
[ 94%] ABC: `` Compiling: /src/opt/rwr/rwrLib.c
[ 94%] ABC: `` Compiling: /src/opt/rwr/rwrMan.c
[ 94%] ABC: `` Compiling: /src/opt/rwr/rwrPrint.c
[ 94%] ABC: `` Compiling: /src/opt/rwr/rwrUtil.c
[ 94%] ABC: `` Compiling: /src/opt/mfs/mfsCore.c
[ 94%] ABC: `` Compiling: /src/opt/mfs/mfsDiv.c
[ 94%] ABC: `` Compiling: /src/opt/mfs/mfsInter.c
[ 94%] ABC: `` Compiling: /src/opt/mfs/mfsMan.c
[ 94%] ABC: `` Compiling: /src/opt/mfs/mfsResub.c
[ 94%] ABC: `` Compiling: /src/opt/mfs/mfsSat.c
[ 94%] ABC: `` Compiling: /src/opt/mfs/mfsStrash.c
[ 94%] ABC: `` Compiling: /src/opt/mfs/mfsWin.c
[ 94%] ABC: `` Compiling: /src/opt/sim/simMan.c
[ 94%] ABC: `` Compiling: /src/opt/sim/simSeq.c
[ 94%] ABC: `` Compiling: /src/opt/sim/simSupp.c
[ 94%] ABC: `` Compiling: /src/opt/sim/simSwitch.c
[ 94%] ABC: `` Compiling: /src/opt/sim/simSym.c
[ 94%] ABC: `` Compiling: /src/opt/sim/simSymSat.c
[ 94%] ABC: `` Compiling: /src/opt/sim/simSymSim.c
[ 94%] ABC: `` Compiling: /src/opt/sim/simSymStr.c
[ 94%] ABC: `` Compiling: /src/opt/sim/simUtils.c
[ 94%] ABC: `` Compiling: /src/opt/ret/retArea.c
[ 94%] ABC: `` Compiling: /src/opt/ret/retCore.c
[ 94%] ABC: `` Compiling: /src/opt/ret/retDelay.c
[ 94%] ABC: `` Compiling: /src/opt/ret/retFlow.c
[ 94%] ABC: `` Compiling: /src/opt/ret/retIncrem.c
[ 94%] ABC: `` Compiling: /src/opt/ret/retInit.c
[ 94%] ABC: `` Compiling: /src/opt/ret/retLvalue.c
[ 94%] ABC: `` Compiling: /src/opt/fret/fretMain.c
[ 94%] ABC: `` Compiling: /src/opt/fret/fretFlow.c
[ 94%] ABC: `` Compiling: /src/opt/fret/fretInit.c
[ 94%] ABC: `` Compiling: /src/opt/fret/fretTime.c
[ 94%] ABC: `` Compiling: /src/opt/res/resCore.c
[ 94%] ABC: `` Compiling: /src/opt/res/resDivs.c
[ 94%] ABC: `` Compiling: /src/opt/res/resFilter.c
[ 94%] ABC: `` Compiling: /src/opt/res/resSat.c
[ 94%] ABC: `` Compiling: /src/opt/res/resSim.c
[ 94%] ABC: `` Compiling: /src/opt/res/resStrash.c
[ 94%] ABC: `` Compiling: /src/opt/res/resWin.c
[ 94%] ABC: `` Compiling: /src/opt/lpk/lpkCore.c
[ 94%] ABC: `` Compiling: /src/opt/lpk/lpkAbcDec.c
[ 94%] ABC: `` Compiling: /src/opt/lpk/lpkAbcMux.c
[ 94%] ABC: `` Compiling: /src/opt/lpk/lpkAbcDsd.c
[ 94%] ABC: `` Compiling: /src/opt/lpk/lpkAbcUtil.c
[ 94%] ABC: `` Compiling: /src/opt/lpk/lpkCut.c
[ 94%] ABC: `` Compiling: /src/opt/lpk/lpkMan.c
[ 94%] ABC: `` Compiling: /src/opt/lpk/lpkMap.c
[ 94%] ABC: `` Compiling: /src/opt/lpk/lpkMulti.c
[ 94%] ABC: `` Compiling: /src/opt/lpk/lpkMux.c
[ 94%] ABC: `` Compiling: /src/opt/lpk/lpkSets.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkAig.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkCheck.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkBidec.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkDfs.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkFanio.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkFlow.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkMan.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkMap.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkMerge.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkObj.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkSpeedup.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkStrash.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkTiming.c
[ 94%] ABC: `` Compiling: /src/opt/nwk/nwkUtil.c
[ 94%] ABC: `` Compiling: /src/opt/rwt/rwtDec.c
[ 94%] ABC: `` Compiling: /src/opt/rwt/rwtMan.c
[ 94%] ABC: `` Compiling: /src/opt/rwt/rwtUtil.c
[ 94%] ABC: `` Compiling: /src/opt/cgt/cgtAig.c
[ 94%] ABC: `` Compiling: /src/opt/cgt/cgtCore.c
[ 94%] ABC: `` Compiling: /src/opt/cgt/cgtDecide.c
[ 94%] ABC: `` Compiling: /src/opt/cgt/cgtMan.c
[ 94%] ABC: `` Compiling: /src/opt/cgt/cgtSat.c
[ 94%] ABC: `` Compiling: /src/opt/csw/cswCore.c
[ 94%] ABC: `` Compiling: /src/opt/csw/cswCut.c
[ 94%] ABC: `` Compiling: /src/opt/csw/cswMan.c
[ 94%] ABC: `` Compiling: /src/opt/csw/cswTable.c
[ 94%] ABC: `` Compiling: /src/opt/dar/darBalance.c
[ 94%] ABC: `` Compiling: /src/opt/dar/darCore.c
[ 94%] ABC: `` Compiling: /src/opt/dar/darCut.c
[ 94%] ABC: `` Compiling: /src/opt/dar/darData.c
[ 94%] ABC: `` Compiling: /src/opt/dar/darLib.c
[ 94%] ABC: `` Compiling: /src/opt/dar/darMan.c
[ 94%] ABC: `` Compiling: /src/opt/dar/darPrec.c
[ 94%] ABC: `` Compiling: /src/opt/dar/darRefact.c
[ 94%] ABC: `` Compiling: /src/opt/dar/darScript.c
[ 94%] ABC: `` Compiling: /src/opt/dau/dauCanon.c
[ 94%] ABC: `` Compiling: /src/opt/dau/dauCore.c
[ 94%] ABC: `` Compiling: /src/opt/dau/dauCount.c
[ 94%] ABC: `` Compiling: /src/opt/dau/dauDivs.c
[ 94%] ABC: `` Compiling: /src/opt/dau/dauDsd.c
[ 94%] ABC: `` Compiling: /src/opt/dau/dauEnum.c
[ 94%] ABC: `` Compiling: /src/opt/dau/dauGia.c
[ 94%] ABC: `` Compiling: /src/opt/dau/dauMerge.c
[ 94%] ABC: `` Compiling: /src/opt/dau/dauNonDsd.c
[ 94%] ABC: `` Compiling: /src/opt/dau/dauNpn.c
[ 94%] ABC: `` Compiling: /src/opt/dau/dauNpn2.c
[ 94%] ABC: `` Compiling: /src/opt/dau/dauTree.c
[ 94%] ABC: `` Compiling: /src/opt/dsc/dsc.c
[ 94%] ABC: `` Compiling: /src/opt/sfm/sfmArea.c
[ 94%] ABC: `` Compiling: /src/opt/sfm/sfmCnf.c
[ 94%] ABC: `` Compiling: /src/opt/sfm/sfmCore.c
[ 94%] ABC: `` Compiling: /src/opt/sfm/sfmDec.c
[ 94%] ABC: `` Compiling: /src/opt/sfm/sfmLib.c
[ 94%] ABC: `` Compiling: /src/opt/sfm/sfmNtk.c
[ 94%] ABC: `` Compiling: /src/opt/sfm/sfmSat.c
[ 94%] ABC: `` Compiling: /src/opt/sfm/sfmTim.c
[ 94%] ABC: `` Compiling: /src/opt/sfm/sfmMit.c
[ 94%] ABC: `` Compiling: /src/opt/sfm/sfmWin.c
[ 94%] ABC: `` Compiling: /src/opt/sbd/sbd.c
[ 94%] ABC: `` Compiling: /src/opt/sbd/sbdCnf.c
[ 94%] ABC: `` Compiling: /src/opt/sbd/sbdCore.c
[ 94%] ABC: `` Compiling: /src/opt/sbd/sbdCut.c
[ 94%] ABC: `` Compiling: /src/opt/sbd/sbdCut2.c
[ 94%] ABC: `` Compiling: /src/opt/sbd/sbdLut.c
[ 94%] ABC: `` Compiling: /src/opt/sbd/sbdPath.c
[ 94%] ABC: `` Compiling: /src/opt/sbd/sbdSat.c
[ 94%] ABC: `` Compiling: /src/opt/sbd/sbdWin.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satMem.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satInter.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satInterA.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satInterB.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satInterP.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satProof.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satSolver.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satSolver2.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satSolver2i.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satSolver3.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satStore.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satTrace.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satTruth.c
[ 94%] ABC: `` Compiling: /src/sat/bsat/satUtil.c
[ 94%] ABC: `` Compiling: /src/sat/xsat/xsatSolver.c
[ 94%] ABC: `` Compiling: /src/sat/xsat/xsatSolverAPI.c
In file included from src/sat/xsat/xsatSolver.c:30:
src/sat/xsat/xsatSolver.h:227:40: warning: format specifies type 'long' but the argument has type 'iword' (aka 'long long') [-Wformat]
    printf( "conflicts     : %10ld\n", s->Stats.nConflicts );
                             ~~~~~     ^~~~~~~~~~~~~~~~~~~
                             %10lld
src/sat/xsat/xsatSolver.h:228:40: warning: format specifies type 'long' but the argument has type 'iword' (aka 'long long') [-Wformat]
    printf( "decisions     : %10ld\n", s->Stats.nDecisions );
                             ~~~~~     ^~~~~~~~~~~~~~~~~~~
                             %10lld
src/sat/xsat/xsatSolver.h:229:40: warning: format specifies type 'long' but the argument has type 'iword' (aka 'long long') [-Wformat]
    printf( "propagations  : %10ld\n", s->Stats.nPropagations );
                             ~~~~~     ^~~~~~~~~~~~~~~~~~~~~~
                             %10lld
[ 94%] ABC: `` Compiling: /src/sat/xsat/xsatCnfReader.c
In file included from src/sat/xsat/xsatSolverAPI.c:29:
src/sat/xsat/xsatSolver.h:227:40: warning: format specifies type 'long' but the argument has type 'iword' (aka 'long long') [-Wformat]
    printf( "conflicts     : %10ld\n", s->Stats.nConflicts );
                             ~~~~~     ^~~~~~~~~~~~~~~~~~~
                             %10lld
src/sat/xsat/xsatSolver.h:228:40: warning: format specifies type 'long' but the argument has type 'iword' (aka 'long long') [-Wformat]
    printf( "decisions     : %10ld\n", s->Stats.nDecisions );
                             ~~~~~     ^~~~~~~~~~~~~~~~~~~
                             %10lld
src/sat/xsat/xsatSolver.h:229:40: warning: format specifies type 'long' but the argument has type 'iword' (aka 'long long') [-Wformat]
    printf( "propagations  : %10ld\n", s->Stats.nPropagations );
                             ~~~~~     ^~~~~~~~~~~~~~~~~~~~~~
                             %10lld
src/sat/xsat/xsatSolverAPI.c:341:40: warning: format specifies type 'long' but the argument has type 'iword' (aka 'long long') [-Wformat]
    printf( "conflicts     : %10ld\n", s->Stats.nConflicts );
                             ~~~~~     ^~~~~~~~~~~~~~~~~~~
                             %10lld
src/sat/xsat/xsatSolverAPI.c:342:40: warning: format specifies type 'long' but the argument has type 'iword' (aka 'long long') [-Wformat]
    printf( "decisions     : %10ld\n", s->Stats.nDecisions );
                             ~~~~~     ^~~~~~~~~~~~~~~~~~~
                             %10lld
src/sat/xsat/xsatSolverAPI.c:343:40: warning: format specifies type 'long' but the argument has type 'iword' (aka 'long long') [-Wformat]
    printf( "propagations  : %10ld\n", s->Stats.nPropagations );
                             ~~~~~     ^~~~~~~~~~~~~~~~~~~~~~
                             %10lld
In file included from src/sat/xsat/xsatCnfReader.c:30:
src/sat/xsat/xsatSolver.h:227:40: warning: format specifies type 'long' but the argument has type 'iword' (aka 'long long') [-Wformat]
    printf( "conflicts     : %10ld\n", s->Stats.nConflicts );
                             ~~~~~     ^~~~~~~~~~~~~~~~~~~
                             %10lld
src/sat/xsat/xsatSolver.h:228:40: warning: format specifies type 'long' but the argument has type 'iword' (aka 'long long') [-Wformat]
    printf( "decisions     : %10ld\n", s->Stats.nDecisions );
                             ~~~~~     ^~~~~~~~~~~~~~~~~~~
                             %10lld
src/sat/xsat/xsatSolver.h:229:40: warning: format specifies type 'long' but the argument has type 'iword' (aka 'long long') [-Wformat]
    printf( "propagations  : %10ld\n", s->Stats.nPropagations );
                             ~~~~~     ^~~~~~~~~~~~~~~~~~~~~~
                             %10lld
3 warnings generated.
[ 94%] ABC: `` Compiling: /src/sat/satoko/solver.c
In file included from src/sat/satoko/solver.c:14:
In file included from src/sat/satoko/act_clause.h:12:
In file included from src/sat/satoko/solver.h:17:
In file included from src/sat/satoko/clause.h:12:
In file included from src/sat/satoko/types.h:12:
src/sat/satoko/utils/sdbl.h:124:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", double2sdbl(1 /0.95));
            ~~~~~~     ^~~~~~~~~~~~~~~~~~~~
            %016llX
src/sat/satoko/utils/sdbl.h:125:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", SDBL_CONST1);
            ~~~~~~     ^~~~~~~~~~~
            %016llX
6 warnings generated.
[ 94%] ABC: `` Compiling: /src/sat/satoko/solver_api.c
In file included from src/sat/satoko/solver_api.c:14:
In file included from src/sat/satoko/act_var.h:12:
In file included from src/sat/satoko/solver.h:17:
In file included from src/sat/satoko/clause.h:12:
In file included from src/sat/satoko/types.h:12:
src/sat/satoko/utils/sdbl.h:124:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", double2sdbl(1 /0.95));
            ~~~~~~     ^~~~~~~~~~~~~~~~~~~~
            %016llX
src/sat/satoko/utils/sdbl.h:125:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", SDBL_CONST1);
            ~~~~~~     ^~~~~~~~~~~
            %016llX
[ 94%] ABC: `` Compiling: /src/sat/satoko/cnf_reader.c
In file included from src/sat/satoko/cnf_reader.c:15:
In file included from src/sat/satoko/satoko.h:12:
In file included from src/sat/satoko/types.h:12:
src/sat/satoko/utils/sdbl.h:124:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", double2sdbl(1 /0.95));
            ~~~~~~     ^~~~~~~~~~~~~~~~~~~~
            %016llX
src/sat/satoko/utils/sdbl.h:125:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", SDBL_CONST1);
            ~~~~~~     ^~~~~~~~~~~
            %016llX
2 warnings generated.
[ 94%] ABC: `` Compiling: /src/sat/csat/csat_apis.c
[ 94%] ABC: `` Compiling: /src/sat/msat/msatActivity.c
[ 94%] ABC: `` Compiling: /src/sat/msat/msatClause.c
2 warnings generated.
[ 94%] ABC: `` Compiling: /src/sat/msat/msatClauseVec.c
[ 94%] ABC: `` Compiling: /src/sat/msat/msatMem.c
[ 94%] ABC: `` Compiling: /src/sat/msat/msatOrderH.c
[ 94%] ABC: `` Compiling: /src/sat/msat/msatQueue.c
[ 94%] ABC: `` Compiling: /src/sat/msat/msatRead.c
[ 94%] ABC: `` Compiling: /src/sat/msat/msatSolverApi.c
[ 94%] ABC: `` Compiling: /src/sat/msat/msatSolverCore.c
3 warnings generated.
[ 94%] ABC: `` Compiling: /src/sat/msat/msatSolverIo.c
[ 94%] ABC: `` Compiling: /src/sat/msat/msatSolverSearch.c
[ 94%] ABC: `` Compiling: /src/sat/msat/msatSort.c
[ 94%] ABC: `` Compiling: /src/sat/msat/msatVec.c
[ 94%] ABC: `` Compiling: /src/sat/cnf/cnfCore.c
[ 94%] ABC: `` Compiling: /src/sat/cnf/cnfCut.c
[ 94%] ABC: `` Compiling: /src/sat/cnf/cnfData.c
[ 94%] ABC: `` Compiling: /src/sat/cnf/cnfFast.c
[ 94%] ABC: `` Compiling: /src/sat/cnf/cnfMan.c
[ 94%] ABC: `` Compiling: /src/sat/cnf/cnfMap.c
[ 94%] ABC: `` Compiling: /src/sat/cnf/cnfPost.c
[ 94%] ABC: `` Compiling: /src/sat/cnf/cnfUtil.c
[ 94%] ABC: `` Compiling: /src/sat/cnf/cnfWrite.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcBCore.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcBmc.c
2 warnings generated.
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcBmc2.c
In file included from src/sat/bmc/bmcBmc.c:24:
In file included from ./src/sat/satoko/satoko.h:12:
In file included from ./src/sat/satoko/types.h:12:
./src/sat/satoko/utils/sdbl.h:124:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", double2sdbl(1 /0.95));
            ~~~~~~     ^~~~~~~~~~~~~~~~~~~~
            %016llX
./src/sat/satoko/utils/sdbl.h:125:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", SDBL_CONST1);
            ~~~~~~     ^~~~~~~~~~~
            %016llX
In file included from src/sat/bmc/bmcBmc2.c:23:
In file included from ./src/sat/satoko/satoko.h:12:
In file included from ./src/sat/satoko/types.h:12:
./src/sat/satoko/utils/sdbl.h:124:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", double2sdbl(1 /0.95));
            ~~~~~~     ^~~~~~~~~~~~~~~~~~~~
            %016llX
./src/sat/satoko/utils/sdbl.h:125:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", SDBL_CONST1);
            ~~~~~~     ^~~~~~~~~~~
            %016llX
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcBmc3.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcBmcAnd.c
In file included from src/sat/bmc/bmcBmc3.c:24:
In file included from ./src/sat/satoko/satoko.h:12:
In file included from ./src/sat/satoko/types.h:12:
./src/sat/satoko/utils/sdbl.h:124:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", double2sdbl(1 /0.95));
            ~~~~~~     ^~~~~~~~~~~~~~~~~~~~
            %016llX
./src/sat/satoko/utils/sdbl.h:125:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", SDBL_CONST1);
            ~~~~~~     ^~~~~~~~~~~
            %016llX
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcBmci.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcBmcG.c
2 warnings generated.
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcBmcS.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcCexCare.c
In file included from src/sat/bmc/bmcBmcS.c:23:
In file included from ./src/sat/satoko/satoko.h:12:
In file included from ./src/sat/satoko/types.h:12:
./src/sat/satoko/utils/sdbl.h:124:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", double2sdbl(1 /0.95));
            ~~~~~~     ^~~~~~~~~~~~~~~~~~~~
            %016llX
./src/sat/satoko/utils/sdbl.h:125:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", SDBL_CONST1);
            ~~~~~~     ^~~~~~~~~~~
            %016llX
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcCexCut.c
2 warnings generated.
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcCexDepth.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcCexMin1.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcCexMin2.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcCexTools.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcChain.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcClp.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcEco.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcExpand.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcFault.c
2 warnings generated.
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcFx.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcGen.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcICheck.c
2 warnings generated.
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcInse.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcLoad.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcMaj.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcMaj2.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcMaj3.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcMaxi.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcMesh.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcMesh2.c
In file included from src/sat/bmc/bmcMesh.c:22:
In file included from ./src/sat/satoko/satoko.h:12:
In file included from ./src/sat/satoko/types.h:12:
./src/sat/satoko/utils/sdbl.h:124:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", double2sdbl(1 /0.95));
            ~~~~~~     ^~~~~~~~~~~~~~~~~~~~
            %016llX
./src/sat/satoko/utils/sdbl.h:125:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", SDBL_CONST1);
            ~~~~~~     ^~~~~~~~~~~
            %016llX
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcMulti.c
[ 94%] ABC: `` Compiling: /src/sat/bmc/bmcUnroll.c
2 warnings generated.
[ 94%] ABC: `` Compiling: /src/bool/bdc/bdcCore.c
[ 94%] ABC: `` Compiling: /src/bool/bdc/bdcDec.c
[ 94%] ABC: `` Compiling: /src/bool/bdc/bdcSpfd.c
[ 94%] ABC: `` Compiling: /src/bool/bdc/bdcTable.c
[ 94%] ABC: `` Compiling: /src/bool/dec/decAbc.c
[ 94%] ABC: `` Compiling: /src/bool/dec/decFactor.c
[ 94%] ABC: `` Compiling: /src/bool/dec/decMan.c
[ 94%] ABC: `` Compiling: /src/bool/dec/decPrint.c
[ 94%] ABC: `` Compiling: /src/bool/dec/decUtil.c
[ 94%] ABC: `` Compiling: /src/bool/kit/kitAig.c
[ 94%] ABC: `` Compiling: /src/bool/kit/kitBdd.c
[ 94%] ABC: `` Compiling: /src/bool/kit/kitCloud.c
[ 94%] ABC: `` Compiling: /src/bool/kit/cloud.c
[ 94%] ABC: `` Compiling: /src/bool/kit/kitDsd.c
[ 94%] ABC: `` Compiling: /src/bool/kit/kitFactor.c
[ 94%] ABC: `` Compiling: /src/bool/kit/kitGraph.c
[ 94%] ABC: `` Compiling: /src/bool/kit/kitHop.c
[ 94%] ABC: `` Compiling: /src/bool/kit/kitIsop.c
[ 94%] ABC: `` Compiling: /src/bool/kit/kitPla.c
[ 94%] ABC: `` Compiling: /src/bool/kit/kitSop.c
[ 94%] ABC: `` Compiling: /src/bool/kit/kitTruth.c
[ 94%] ABC: `` Compiling: /src/bool/lucky/lucky.c
[ 94%] ABC: `` Compiling: /src/bool/lucky/luckyFast16.c
[ 94%] ABC: `` Compiling: /src/bool/lucky/luckyFast6.c
[ 94%] ABC: `` Compiling: /src/bool/lucky/luckyRead.c
[ 94%] ABC: `` Compiling: /src/bool/lucky/luckySimple.c
[ 94%] ABC: `` Compiling: /src/bool/lucky/luckySwapIJ.c
[ 94%] ABC: `` Compiling: /src/bool/lucky/luckySwap.c
[ 94%] ABC: `` Compiling: /src/bool/rsb/rsbDec6.c
[ 94%] ABC: `` Compiling: /src/bool/rsb/rsbMan.c
[ 94%] ABC: `` Compiling: /src/bool/rpo/rpo.c
[ 94%] ABC: `` Compiling: /src/proof/pdr/pdrCnf.c
[ 94%] ABC: `` Compiling: /src/proof/pdr/pdrCore.c
[ 94%] ABC: `` Compiling: /src/proof/pdr/pdrIncr.c
[ 94%] ABC: `` Compiling: /src/proof/pdr/pdrInv.c
[ 94%] ABC: `` Compiling: /src/proof/pdr/pdrMan.c
[ 94%] ABC: `` Compiling: /src/proof/pdr/pdrSat.c
[ 94%] ABC: `` Compiling: /src/proof/pdr/pdrTsim.c
[ 94%] ABC: `` Compiling: /src/proof/pdr/pdrTsim2.c
[ 94%] ABC: `` Compiling: /src/proof/pdr/pdrTsim3.c
[ 94%] ABC: `` Compiling: /src/proof/pdr/pdrUtil.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absDup.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absGla.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absGlaOld.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absIter.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absOldCex.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absOldRef.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absOldSat.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absOldSim.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absOut.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absPth.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absRef.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absRefSelect.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absRpm.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absRpmOld.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absVta.c
[ 94%] ABC: `` Compiling: /src/proof/abs/absUtil.c
[ 94%] ABC: `` Compiling: /src/proof/live/liveness.c
[ 94%] ABC: `` Compiling: /src/proof/live/liveness_sim.c
[ 94%] ABC: `` Compiling: /src/proof/live/ltl_parser.c
[ 94%] ABC: `` Compiling: /src/proof/live/kliveness.c
[ 94%] ABC: `` Compiling: /src/proof/live/monotone.c
[ 94%] ABC: `` Compiling: /src/proof/live/disjunctiveMonotone.c
[ 94%] ABC: `` Compiling: /src/proof/live/arenaViolation.c
[ 94%] ABC: `` Compiling: /src/proof/live/kLiveConstraints.c
[ 94%] ABC: `` Compiling: /src/proof/live/combination.c
[ 94%] ABC: `` Compiling: /src/proof/ssc/sscClass.c
[ 94%] ABC: `` Compiling: /src/proof/ssc/sscCore.c
[ 94%] ABC: `` Compiling: /src/proof/ssc/sscSat.c
[ 94%] ABC: `` Compiling: /src/proof/ssc/sscSim.c
[ 94%] ABC: `` Compiling: /src/proof/ssc/sscUtil.c
[ 94%] ABC: `` Compiling: /src/proof/int/intCheck.c
[ 94%] ABC: `` Compiling: /src/proof/int/intContain.c
[ 94%] ABC: `` Compiling: /src/proof/int/intCore.c
[ 94%] ABC: `` Compiling: /src/proof/int/intCtrex.c
[ 94%] ABC: `` Compiling: /src/proof/int/intDup.c
[ 94%] ABC: `` Compiling: /src/proof/int/intFrames.c
[ 94%] ABC: `` Compiling: /src/proof/int/intInter.c
[ 94%] ABC: `` Compiling: /src/proof/int/intM114.c
[ 94%] ABC: `` Compiling: /src/proof/int/intMan.c
[ 94%] ABC: `` Compiling: /src/proof/int/intUtil.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecCec.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecChoice.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecClass.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecCore.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecCorr.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecIso.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecMan.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecPat.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecSat.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecSatG.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecSeq.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecSolve.c
In file included from src/proof/cec/cecSat.c:23:
In file included from ./src/sat/satoko/satoko.h:12:
In file included from ./src/sat/satoko/types.h:12:
./src/sat/satoko/utils/sdbl.h:124:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", double2sdbl(1 /0.95));
            ~~~~~~     ^~~~~~~~~~~~~~~~~~~~
            %016llX
./src/sat/satoko/utils/sdbl.h:125:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", SDBL_CONST1);
            ~~~~~~     ^~~~~~~~~~~
            %016llX
[ 94%] ABC: `` Compiling: /src/proof/cec/cecSplit.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecSynth.c
[ 94%] ABC: `` Compiling: /src/proof/cec/cecSweep.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecCl.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecCore.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecCo.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecBo.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecRe.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecPa.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecPo.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecPool.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecCover.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecFadds.c
2 warnings generated.
[ 94%] ABC: `` Compiling: /src/proof/acec/acecMult.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecNorm.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecOrder.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecPolyn.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecSt.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecTree.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecUtil.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acec2Mult.c
[ 94%] ABC: `` Compiling: /src/proof/acec/acecXor.c
[ 94%] ABC: `` Compiling: /src/proof/dch/dchAig.c
[ 94%] ABC: `` Compiling: /src/proof/dch/dchChoice.c
[ 94%] ABC: `` Compiling: /src/proof/dch/dchClass.c
[ 94%] ABC: `` Compiling: /src/proof/dch/dchCnf.c
[ 94%] ABC: `` Compiling: /src/proof/dch/dchCore.c
[ 94%] ABC: `` Compiling: /src/proof/dch/dchMan.c
[ 94%] ABC: `` Compiling: /src/proof/dch/dchSat.c
[ 94%] ABC: `` Compiling: /src/proof/dch/dchSim.c
[ 94%] ABC: `` Compiling: /src/proof/dch/dchSimSat.c
[ 94%] ABC: `` Compiling: /src/proof/dch/dchSweep.c
[ 94%] ABC: `` Compiling: /src/proof/fraig/fraigApi.c
[ 94%] ABC: `` Compiling: /src/proof/fraig/fraigCanon.c
[ 94%] ABC: `` Compiling: /src/proof/fraig/fraigFanout.c
[ 94%] ABC: `` Compiling: /src/proof/fraig/fraigFeed.c
[ 94%] ABC: `` Compiling: /src/proof/fraig/fraigMan.c
[ 94%] ABC: `` Compiling: /src/proof/fraig/fraigMem.c
[ 94%] ABC: `` Compiling: /src/proof/fraig/fraigNode.c
[ 94%] ABC: `` Compiling: /src/proof/fraig/fraigPrime.c
[ 94%] ABC: `` Compiling: /src/proof/fraig/fraigSat.c
[ 94%] ABC: `` Compiling: /src/proof/fraig/fraigTable.c
[ 94%] ABC: `` Compiling: /src/proof/fraig/fraigUtil.c
[ 94%] ABC: `` Compiling: /src/proof/fraig/fraigVec.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraBmc.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraCec.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraClass.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraClau.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraClaus.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraCnf.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraCore.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraHot.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraImp.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraInd.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraIndVer.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraLcr.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraMan.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraPart.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraSat.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraSec.c
[ 94%] ABC: `` Compiling: /src/proof/fra/fraSim.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswAig.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswBmc.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswClass.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswCnf.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswConstr.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswCore.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswDyn.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswFilter.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswIslands.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswLcorr.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswMan.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswPart.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswPairs.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswRarity.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswSat.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswSemi.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswSim.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswSimSat.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswSweep.c
[ 94%] ABC: `` Compiling: /src/proof/ssw/sswUnique.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigCheck.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigCanon.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigCuts.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigDfs.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigDup.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigFanout.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigFrames.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigInter.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigJust.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigMan.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigMem.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigMffc.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigObj.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigOper.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigOrder.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigPack.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigPart.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigPartReg.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigPartSat.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigRepr.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigRet.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigRetF.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigScl.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigShow.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigSplit.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigTable.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigTiming.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigTruth.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigTsim.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigUtil.c
[ 94%] ABC: `` Compiling: /src/aig/aig/aigWin.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigCone.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigConstr.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigConstr2.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigDual.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigDup.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigInd.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigIoa.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigIso.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigIsoFast.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigIsoSlow.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigMiter.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigOutDec.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigPhase.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigRetFwd.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigRetMin.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigRetStep.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigScl.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigSimFast.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigSimMv.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigSimSeq.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigStrSim.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigSwitch.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigSynch.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigTempor.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigTrans.c
[ 94%] ABC: `` Compiling: /src/aig/saig/saigWnd.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaAig.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaAgi.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaAiger.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaAigerExt.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaBalAig.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaBalLut.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaBalMap.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaBidec.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaCCof.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaCex.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaClp.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaCof.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaCone.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaCSatOld.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaCSat.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaCSat2.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaCTas.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaCut.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaDfs.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaDup.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaEdge.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaEmbed.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaEnable.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaEquiv.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaEra.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaEra2.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaEsop.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaExist.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaFalse.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaFanout.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaForce.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaFrames.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaFront.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaFx.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaGig.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaGlitch.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaHash.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaIf.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaIff.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaIiff.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaIso.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaIso2.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaIso3.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaJf.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaKf.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaLf.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaMf.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaMan.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaMem.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaMfs.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaMini.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaMuxes.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaNf.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaOf.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaPack.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaPat.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaPf.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaQbf.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaResub.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaRetime.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaRex.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSatEdge.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSatLE.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSatLut.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSatMap.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSatoko.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSat3.c
In file included from src/aig/gia/giaSatoko.c:24:
In file included from ./src/sat/satoko/satoko.h:12:
In file included from ./src/sat/satoko/types.h:12:
./src/sat/satoko/utils/sdbl.h:124:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", double2sdbl(1 /0.95));
            ~~~~~~     ^~~~~~~~~~~~~~~~~~~~
            %016llX
./src/sat/satoko/utils/sdbl.h:125:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", SDBL_CONST1);
            ~~~~~~     ^~~~~~~~~~~
            %016llX
[ 94%] ABC: `` Compiling: /src/aig/gia/giaScl.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaScript.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaShow.c
2 warnings generated.
[ 94%] ABC: `` Compiling: /src/aig/gia/giaShrink.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaShrink6.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaShrink7.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSim.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSim2.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSort.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSpeedup.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSplit.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaStg.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaStr.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSupMin.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSupp.c
In file included from src/aig/gia/giaSupp.c:22:
In file included from ./src/sat/satoko/satoko.h:12:
In file included from ./src/sat/satoko/types.h:12:
./src/sat/satoko/utils/sdbl.h:124:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", double2sdbl(1 /0.95));
            ~~~~~~     ^~~~~~~~~~~~~~~~~~~~
            %016llX
./src/sat/satoko/utils/sdbl.h:125:24: warning: format specifies type 'unsigned long' but the argument has type 'sdbl_t' (aka 'unsigned long long') [-Wformat]
    printf("%016lX\n", SDBL_CONST1);
            ~~~~~~     ^~~~~~~~~~~
            %016llX
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSweep.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSweeper.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaSwitch.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaTim.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaTis.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaTruth.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaTsim.c
2 warnings generated.
[ 94%] ABC: `` Compiling: /src/aig/gia/giaUnate.c
[ 94%] ABC: `` Compiling: /src/aig/gia/giaUtil.c
[ 94%] ABC: `` Compiling: /src/aig/ioa/ioaReadAig.c
[ 94%] ABC: `` Compiling: /src/aig/ioa/ioaWriteAig.c
[ 94%] ABC: `` Compiling: /src/aig/ioa/ioaUtil.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyBalance.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyCanon.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyCheck.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyCut.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyCutTrav.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyDfs.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyDsd.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyFanout.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyFastMap.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyFraig.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyHaig.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyMan.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyMem.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyMulti.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyObj.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyOper.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyResyn.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyRwr.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivySeq.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyShow.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyTable.c
[ 94%] ABC: `` Compiling: /src/aig/ivy/ivyUtil.c
[ 94%] ABC: `` Compiling: /src/aig/hop/hopBalance.c
[ 94%] ABC: `` Compiling: /src/aig/hop/hopCheck.c
[ 94%] ABC: `` Compiling: /src/aig/hop/hopDfs.c
[ 94%] ABC: `` Compiling: /src/aig/hop/hopMan.c
[ 94%] ABC: `` Compiling: /src/aig/hop/hopMem.c
[ 94%] ABC: `` Compiling: /src/aig/hop/hopObj.c
[ 94%] ABC: `` Compiling: /src/aig/hop/hopOper.c
[ 94%] ABC: `` Compiling: /src/aig/hop/hopTable.c
[ 94%] ABC: `` Compiling: /src/aig/hop/hopTruth.c
[ 94%] ABC: `` Compiling: /src/aig/hop/hopUtil.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAPI.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAddAbs.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAddApply.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAddFind.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAddInv.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAddIte.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAddNeg.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAddWalsh.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAndAbs.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddAnneal.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddApa.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddApprox.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddBddAbs.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddBddCorr.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddBddIte.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddBridge.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddCache.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddCheck.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddClip.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddCof.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddCompose.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddDecomp.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddEssent.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddExact.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddExport.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddGenCof.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddGenetic.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddGroup.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddHarwell.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddInit.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddInteract.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddLCache.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddLevelQ.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddLinear.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddLiteral.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddMatMult.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddPriority.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddRead.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddRef.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddReorder.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddSat.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddSign.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddSolve.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddSplit.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddSubsetHB.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddSubsetSP.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddSymmetry.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddTable.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddUtil.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddWindow.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddCount.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddFuncs.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddGroup.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddIsop.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddLin.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddMisc.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddPort.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddReord.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddSetop.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddSymm.c
[ 94%] ABC: `` Compiling: /src/bdd/cudd/cuddZddUtil.c
[ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddAuto.c
[ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddCas.c
[ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddImage.c
[ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddKmap.c
[ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddMaxMin.c
[ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddMisc.c
[ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddSet.c
[ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddSymm.c
[ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddThresh.c
[ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddTime.c
[ 94%] ABC: `` Compiling: /src/bdd/extrab/extraBddUnate.c
[ 94%] ABC: `` Compiling: /src/bdd/dsd/dsdApi.c
[ 94%] ABC: `` Compiling: /src/bdd/dsd/dsdCheck.c
[ 94%] ABC: `` Compiling: /src/bdd/dsd/dsdLocal.c
[ 94%] ABC: `` Compiling: /src/bdd/dsd/dsdMan.c
[ 94%] ABC: `` Compiling: /src/bdd/dsd/dsdProc.c
[ 94%] ABC: `` Compiling: /src/bdd/dsd/dsdTree.c
[ 94%] ABC: `` Compiling: /src/bdd/epd/epd.c
[ 94%] ABC: `` Compiling: /src/bdd/mtr/mtrBasic.c
[ 94%] ABC: `` Compiling: /src/bdd/mtr/mtrGroup.c
[ 94%] ABC: `` Compiling: /src/bdd/reo/reoApi.c
[ 94%] ABC: `` Compiling: /src/bdd/reo/reoCore.c
[ 94%] ABC: `` Compiling: /src/bdd/reo/reoProfile.c
[ 94%] ABC: `` Compiling: /src/bdd/reo/reoShuffle.c
[ 94%] ABC: `` Compiling: /src/bdd/reo/reoSift.c
[ 94%] ABC: `` Compiling: /src/bdd/reo/reoSwap.c
[ 94%] ABC: `` Compiling: /src/bdd/reo/reoTransfer.c
[ 94%] ABC: `` Compiling: /src/bdd/reo/reoUnits.c
[ 94%] ABC: `` Compiling: /src/bdd/cas/casCore.c
[ 94%] ABC: `` Compiling: /src/bdd/cas/casDec.c
[ 94%] ABC: `` Compiling: /src/bdd/bbr/bbrCex.c
[ 94%] ABC: `` Compiling: /src/bdd/bbr/bbrImage.c
[ 94%] ABC: `` Compiling: /src/bdd/bbr/bbrNtbdd.c
[ 94%] ABC: `` Compiling: /src/bdd/bbr/bbrReach.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Cluster.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Constr.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Core.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Group.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Hint.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Man.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Matrix.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Pivot.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Reach.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb1Sched.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb2Bad.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb2Core.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb2Driver.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb2Dump.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb2Flow.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb2Image.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb3Image.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb3Nonlin.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb4Cex.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb4Image.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb4Nonlin.c
[ 94%] ABC: `` Compiling: /src/bdd/llb/llb4Sweep.c
[ 94%] ABC: `` Building binary: abc-5776ad0
[100%] Building yosys-abc

  Build successful.

OM11-kontor-2016:yosys teig$ sudo make install
Password:
$BREW_PREFIX is [/usr/local/opt]
mkdir -p /usr/local/bin
cp yosys yosys-config yosys-abc yosys-filterlib yosys-smtbmc /usr/local/bin
strip -S /usr/local/bin/yosys
strip /usr/local/bin/yosys-abc
strip /usr/local/bin/yosys-filterlib
mkdir -p /usr/local/share/yosys
cp -r share/. /usr/local/share/yosys/.
OM11-kontor-2016:yosys teig$